您的位置: 首页 > 文章 > FPGA学习笔记 FPGA学习笔记 分类: 文章 • 2024-01-11 12:39:46 FPGA学习笔记差分信号缓冲器,用于不同电平接口之间的缓冲和转换。 IBUFDS差分输入模块定义; OBUFDS差分输出模块定义; 器件原语 时钟:create_clock -name <clock_name> -period [get_ports ] 差分时钟只需创建一个p时钟,单位:ns