DC 逻辑综合的基本流程
基本流程
1、Develop HDL File
2、Specify Libraries
link_library
target_library
symbol_library
synthetic_library
3、Read Design
read_file
4、Define Design Environment
set_operating_conitions
set_wire_load_model
set_drive
set_load
... ... ...
5、Set Design Constraints
Design Rule Constraints
set_max_transition
set_max_fanout
set_max_capacitance
Design Optimization Constraints
create_clock
set_clock_uncertainty
set_input_delay
set_output_delay
set_max_area
... ... ...
6、Optimize the Design
compile_ultra
7、Analyze and Resolve Design Problems
check_design
report_timing
report_constraints
report_area
8、Save the Design Database
write