每天学命令

每天学命令

report_clocks      
[-description]  
[-phase_shift_table]  
[-total_shift_table]  
[-uncertainty_table]  
[-adjustment_table]  
[-delay_adjustment_table]  
[-source_insertion]  
[-insertion] 
[-hierarchy]  
[-groups]  
[-clocks clk_signame | clk_signame_list ] 
[-view view_name ]  


这个命令可以报出design中的clock信息。包括clock waveforms, clock arrival points, ,clock uncertainties等等


-description  报出clock最基本的信息,从create_clock, create_generate_clock得到,见例子1

-phase_shift_table  报出design中详细的phase shift table情况,见例子2

-uncertainty_table  报出clock uncertainty的详细table,见例子3

-adjustment_table  报出setup,hold analysis中,经过set_multicycle_path后的cycle adjustment具体信息,见例子4

-delay_adjustment_table  报出setup,hold analysis中,经过set_max_delay, set_min_delay后的path delay adjustment具体信息,见例子5

-source_insertion  报出指定clock的source insertion delay, 见例子6

-insertion  报出指定clock的network insertion delays,table的delay格式为min:typ:max,见例子7

-hierarchy  报出当前design中的clock hierarchical 关系,见例子8

-groups  报出当前design中的clock group情况,见例子9

-clocks  指定需要报出的clock名字

-view  指定analysis view

例子:

(1)report_clocks -description

每天学命令

(2)report_clocks -phase_shift_table

每天学命令

(3) set_clock_uncertainty 5 -from vclk1 -to vclk2

report_clocks -uncertainty_table

每天学命令

 

(4) create_clock [get_ports {CLK1}]  -name vclk2 -period 8 -waveform {04} -add set_multicycle_path 3 -from vclk1 -to vclk2

report_clocks -adjustment_table

每天学命令

(5) set_max_delay 1.5 -from CK1 -to CK1

set_max_delay 1.6 -from CK1 -to CK2

set_max_delay 1.4 -from CK2 -to CK1

report_clocks -delay_adjustment_table

每天学命令

(6)report_clocks -source_insertion

每天学命令

(7)report_clocks -insertion

每天学命令

 

(8)

create_generated_clock -name tst -source [get_pins b1/A] -divide_by 1b1/Y

create_generated_clock -name tst2 -source [get_pins b1/Y] -divide_by 2b1/Y -add -master_clock tst

create_generated_clock -name tst3 -source [get_pins b1/Y] -divide_by 4b1/Y -add -master_clock tst

create_generated_clock -name tst4 -source [get_pins b1/Y] -divide_by 4b1/Y -add -master_clock tst2

report_clocks -hierarchy

 

Generated clocks hierarchy:

---------------------------

-> (0) tst

  +-> (1) tst3

  +-> (1) tst2

     +-> (2) tst4

-> (0) tst

  +-> (1) tst3

  +-> (1) tst2

     +-> (2) tst4

 

(9)report_clocks -groups

Total logically exclusive groups: 1

Clock Group : group1

View : default_analysis_view_setup

 -group { CK1 }

 -group { CK2 }

Total asynchronous groups: 0

Total physically exclusive groups: 0


每天学命令

往期回顾

静态时序分析STA合集一

静态时序分析STA合集二

时序基本概念介绍<sdc合集>

数字后端基本概念合集(一)

数字后端基本概念合集(二)

数字后端基本概念合集(三)

Low Power概念介绍合集

数字后端dbGet使用方法合集

号外,数字后端交流群招人啦

IC圈的世界杯 | 论芯片设计的胜利十一人

知否?知否?Block PD应该提交哪些数据?

Timing ECO手修攻略

数字后端面试100问(2019全新版)

数字后端面试100问(校招版)

简历请戳邮箱:taozhang326[email protected]163.com

觉得有用的话,给我点个好看吧每天学命令